The OpenD Programming Language

Signal.disconnectAll

Disconnect all the slots.

mixintemplate Signal(T1...)
final
void
disconnectAll
()

Meta